Accellera

редактировать
Accellera
Формация2000 (2000)
ЦельСтандарты
Официальный языкАнглийский
Веб-сайтwww.accellera.org

Accellera Systems Initiative(Accellera) - это организация по стандартизации, которая поддерживает сочетание стандартов пользователей и поставщиков, а также разработку открытых интерфейсов в области разработка и производство средств автоматизации проектирования электроники (EDA) и интегральных схем (IC). Он менее ограничен, чем Институт инженеров по электротехнике и электронике (IEEE), и поэтому является отправной точкой для многих стандартов. После разработки и принятия широким сообществом стандарты обычно передаются в IEEE.

Содержание
  • 1 История
    • 1.1 Консорциум SPIRIT
      • 1.1.1 Членство в SPIRIT
    • 1.2 Open Core Protocol International Партнерская ассоциация
  • 2 Членство в Accellera
  • 3 Стандарты
  • 4 См. Также
  • 5 Ссылки
  • 6 Внешние ссылки
История

В 2000 году Accellera была основана в результате слияния Open Verilog International (OVI) и VHDL International, разработчики Verilog и VHDL соответственно. Оба были первоначально образованы девятью годами ранее, в 1991 году.

В июне 2009 года было объявлено о слиянии между Accellera и The SPIRIT Consortium, другой крупной организацией по стандартизации EDA, ориентированной на IP развертывание и повторное использование. Консорциум SPIRIT получил SystemRDL от SystemRDL Alliance, а затем разработал IP-XACT. Слияние было завершено в апреле 2010 года. SPIRIT расшифровывается как «Структура для упаковки, интеграции и повторного использования IP в потоках инструментов».

В декабре 2011 года Accellera и Open SystemC Initiative (OSCI) одобрили свое слияние, приняв название Accellera Systems Initiative (Accellera), продолжая при этом развивать SystemC.

В октябре 2013 года Accellera приобрела Стандарт Open Core Protocol (OCP), интеллектуальная собственность OCP International Partnership (OCP-IP).

Консорциум SPIRIT

TheКонсорциум SPIRITбыл группой поставщиков и пользователей инструментов автоматизации проектирования (EDA), определяющих стандарты для обмена System-on-a-chip ( SoC) информация о конструкции. Определенные стандарты включали IP-XACT, схему XML для независимого от производителя описания компонентов проекта, и SystemRDL, язык для описания регистров в компонентах. SPIRIT расшифровывается как «Структура для упаковки, интеграции и повторного использования IP в потоках инструментов».

В июне 2009 года было объявлено о слиянии SPIRIT с Accellera.

Членство в SPIRIT

В консорциуме SPIRIT было четыре уровня членства. Совет директоров (СД) являлся правящим органом. На момент слияния участниками были:

Участвующие члены выполнили работу по стандартизации и потратили время и силы на разработку новых спецификаций.

Проверка статуса члена была бесплатной для компаний. Они получают ранний доступ к спецификациям, чтобы облегчить раунд тщательной проверки каждого предложения перед тем, как оно станет общедоступным.

Статус ассоциированного члена был аналогичен статусу проверяющего членства, но для ученых и других некоммерческих организаций.

Международная ассоциация партнерства Open Core Protocol

Международная партнерская ассоциация Open Core Protocol, Inc. (OCP-IP) была независимым некоммерческим консорциумом полупроводниковой промышленности, созданным для управления поддержка, продвижение и расширение Open Core Protocol (OCP). OCP был первым полностью поддерживаемым, открыто лицензируемым, комплексным интерфейсным разъемом для ядер с интеллектуальной собственностью полупроводников (IP). Задача OCP-IP заключалась в решении проблем, связанных с проектированием, проверкой и тестированием, которые являются общими для повторного использования IP-ядра в системе «plug and play » на кристалле (SoC) товары. Эта инициатива всесторонне удовлетворяет требованиям интеграции на системном уровне, способствуя повторному использованию IP-ядра и сокращая время проектирования, риски и производственные затраты для проектов SoC. Команды разработчиков, разрабатывающие приложения для потребителей, обработки данных, телекоммуникаций (беспроводных или проводных), передачи данных и запоминающих устройств, могут получить значительные преимущества от решения OCP-IP.

Членство в Accellera

Корпоративные члены имеют право быть избранными в Совет директоров. Компании-ассоциированные члены имеют право голоса во всех технических рабочих группах Accellera.

Стандарты

Следующие стандарты EDA, разработанные Accellera, были ратифицированы IEEE к 2019 г.:

Accellera разработала следующие инициативы EDA:

См. также
Ссылки
Внешние ссылки
Последняя правка сделана 2021-06-08 20:47:48
Содержание доступно по лицензии CC BY-SA 3.0 (если не указано иное).
Обратная связь: support@alphapedia.ru
Соглашение
О проекте