Счетчик (цифровой)

редактировать
Изменения напряжения на 5 выходах двоичного счетчика, считая от 00000, слева до 11111 (или 31), справа (по вертикали

В цифровой логике и вычислении, счетчик - это устройство, которое сохраняет (а иногда и отображает), сколько раз конкретный событие или процесс произошло, часто в связи с часами. Наиболее распространенным типом является последовательная цифровая логическая схема с входной линией, называемой тактовой частотой, и несколькими выходными линиями. Значения в выходных строках представляют собой числа в двоичной системе счисления или BCD. Каждый импульс, подаваемый на тактовый вход увеличивает или уменьшает число в счетчике.

Схема счетчика обычно состоит из нескольких триггеров, соединенных каскадом. Счетчики являются очень широко используемым компонентом в цифровых схемах и производятся как отдельные интегральные схемы, а также включаются в состав более крупных интегральных схем.

Содержание
  • 1 Электронные счетчики
    • 1.1 Асинхронный (пульсирующий) счетчик
    • 1.2 Синхронный счетчик
      • 1.2.1 Десятилетний счетчик
      • 1.2.2 Счетчик звонков
      • 1.2.3 Счетчик Джонсона
  • 2 Счетчики информатики
    • 2.1 Веб-счетчик
    • 2.2 Компьютерные счетчики
  • 3 Механические счетчики
  • 4 См. Также
  • 5 Ссылки
  • 6 Внешние ссылки
Электронные счетчики

Электронный счетчик представляет собой схему последовательной логики, которая имеет тактовый входной сигнал и группу выходных сигналов, которые представляют собой целое число «счетчиков». После каждого квалифицированного фронта тактового сигнала схема будет увеличивать (или уменьшать, в зависимости от конструкции схемы) счетчики. Когда счетчики достигли конца счетной последовательности (максимальное количество счетчиков при увеличении; нулевое значение при уменьшении), следующие часы вызовут переполнение или уменьшение счетчика, и последовательность счета начнется заново. Внутри счетчики используют триггеры для представления текущих счетчиков и для удержания счетчиков между часами. В зависимости от типа счетчика вывод может быть прямым представлением счетчиков (двоичное число) или может быть закодирован. Примеры последних включают счетчики звонков и счетчики, которые выводят коды Грея.

Многие счетчики предоставляют дополнительные входные сигналы для облегчения динамического управления последовательностью счета, например:

  • Сброс - устанавливает счетчик на ноль. Некоторые производители ИС называют это «сбросом» или «общим сбросом (MR)».
  • Включить - разрешает или запрещает подсчет.
  • Направление - определяет, будет ли счетчик увеличиваться или уменьшаться.
  • Данные - данные параллельного ввода, которые представляют собой конкретное значение счетчика.
  • Загрузка - копирует данные параллельного ввода в счетчики.

Некоторые счетчики предоставляют выходной сигнал конечного счетчика, который указывает, что следующие часы вызовут переполнение или переполнение. Это обычно используется для реализации каскадирования счетчиков (объединение двух или более счетчиков для создания единого, большего счетчика) путем подключения выхода Terminal Count одного счетчика к входу Enable следующего счетчика.

Модуль счетчика - это количество состояний в его счетной последовательности. Максимально возможный модуль определяется количеством триггеров. Например, четырехбитный счетчик может иметь модуль до 16 (2 ^ 4).

Счетчики обычно классифицируются как синхронные или асинхронные. В синхронных счетчиках все триггеры используют общие часы и меняют состояние одновременно. В асинхронных счетчиках каждый триггер имеет уникальные часы, и состояния триггера меняются в разное время.

Синхронные счетчики классифицируются по-разному. Например:

  • Счетчик модуля - считает через определенное количество состояний.
  • Счетчик декады - счетчик модуля 10 (считает до десяти состояний).
  • Счетчик вверх / вниз - считает оба вверх
  • Счетчик звонков - образован «круговым» регистром сдвига.
  • Счетчик Джонсона - счетчик витых колец.
  • Счетчик кода Грея - выводит последовательность кодов Грея.

Счетчики реализуются различными способами, в том числе в виде специализированных MSI и LSI интегральных схем, в виде встроенных счетчиков в ASIC, как универсальные счетчики и таймеры в микроконтроллерах и как IP-блоки в FPGA.

Асинхронный (пульсирующий) счетчик

Асинхронный счетчик, созданный из двух триггеров JK

Асинхронный (пульсирующий) счетчик представляет собой «цепочку» переключаемых (T) триггеров, в которой синхронизируется наименее значимый триггер (бит 0) по внешнему сигналу (часы входа счетчика) и все остальные триггеры ps синхронизируются выходом ближайшего, менее значимого триггера (например, бит 0 синхронизирует триггер бита 1, бит 1 синхронизирует триггер бита 2 и т. д.). Первый триггер синхронизируется по нарастающим фронтам; все остальные триггеры в цепочке синхронизируются по падающим краям часов. Каждый триггер вводит задержку от фронта тактового сигнала до переключения выхода, таким образом заставляя биты счетчика изменяться в разное время и создавая эффект пульсации при распространении тактового сигнала на входе по цепочке. При реализации с дискретными триггерами счетчики пульсаций обычно реализуются с помощью триггеров JK, при этом каждый триггер настроен на переключение при синхронизации (то есть, J и K оба связаны с высоким логическим уровнем).

В простейшем случае однобитовый счетчик состоит из одного триггера. Этот счетчик будет увеличиваться (путем переключения своего выхода) один раз за такт и будет считать от нуля до единицы перед переполнением (начиная с нуля). Каждое состояние выхода соответствует двум тактовым циклам, и, следовательно, выходная частота триггера составляет ровно половину частоты входных тактовых импульсов. Если этот выход затем используется в качестве тактового сигнала для второго триггера, пара триггеров образует двухбитовый счетчик пульсаций со следующей последовательностью состояний:

Тактовый циклQ1Q0(Q1: Q0) decimal
0000
1011
2102
3113
4000

К цепочке могут быть добавлены дополнительные триггеры для формирования счетчиков любого произвольного размера слова с выходной частотой каждого бита, равной ровно половине частоты ближайшего, менее значимого бита.

Счетчики пульсаций демонстрируют нестабильные выходные состояния, пока входной синхросигнал распространяется по цепи. Продолжительность этой нестабильности (время установления выхода) пропорциональна количеству триггеров. Это делает счетчики пульсаций непригодными для использования в синхронных схемах, которые требуют, чтобы счетчик имел быстрое время установления выхода. Кроме того, часто непрактично использовать выходные биты счетчика пульсаций в качестве тактовых сигналов для внешних схем, поскольку эффект пульсаций вызывает временной сдвиг между битами. Счетчики пульсаций обычно используются в качестве счетчиков общего назначения и делителей тактовой частоты в приложениях, где мгновенный счетчик и временной сдвиг не важны.

Синхронный счетчик

4-битный синхронный счетчик с использованием триггеров JK

В синхронном счетчике тактовые входы триггеров соединены вместе, и все триггеры одновременно запускаются общие часы. Следовательно, все триггеры меняют состояние одновременно (параллельно).

Например, схема, показанная справа, представляет собой четырехбитный синхронный счетчик с восходящим (восходящим) счетчиком, реализованный с помощью триггеров JK. Каждый бит этого счетчика может переключаться, когда все менее значимые биты находятся в высоком логическом состоянии. По нарастающему фронту тактового сигнала бит 1 переключается, если бит 0 имеет высокий логический уровень; бит 2 переключается, если биты 0 и 1 имеют высокий уровень; бит 3 переключается, если все биты 2, 1 и 0 имеют высокий уровень.

Десятичный счетчик

Десятичный счетчик схемы, использующий JK-триггеры (74LS112D)

Десятичный счетчик - это счетчик, который считает десятичными цифрами, а не двоичными. Десятилетний счетчик может иметь каждое (то есть он может считать в двоично-десятичном, как это делала интегральная схема 7490 ) или другом двоичном кодировании. Десятичный счетчик - это двоичный счетчик, рассчитанный на 1010 (десятичный 10). Обычный четырехступенчатый счетчик можно легко превратить в декадный, добавив логический элемент И-НЕ, как показано на схеме справа. Обратите внимание, что FF2 и FF4 предоставляют входы для логического элемента И-НЕ. Выходы логического элемента И-НЕ подключены к входу CLR каждого из FF. ". Он ведет счет от 0 до 9, а затем сбрасывается на ноль. Выход счетчика может быть установлен на ноль путем импульсного низкого уровня линии сброса. Затем счетчик увеличивается на каждый тактовый импульс до тех пор, пока он не достигнет 1001 (десятичное 9). Когда он увеличивается до 1010 (десятичный 10), оба входа логического элемента И-НЕ становятся высокими. Результатом является то, что выход И-НЕ становится низким и сбрасывает счетчик на ноль. D становится низким может быть сигналом ВЫПОЛНЕНИЯ, указывающим на то, что был счетчик десять.

Счетчик звонков

Счетчик звонков - это регистр циклического сдвига, который запускается таким образом, что только один из его перекидных flops - это первое состояние, в то время как другие находятся в нулевом состоянии.

Счетчик звонков - это регистр сдвига (каскадное соединение триггеров ) с выходом последнего, подключенного к входу первого, то есть в кольце. Как правило, циркулирует шаблон, состоящий из одного бита, поэтому состояние повторяется каждые n тактовых циклов, если n fli p-флопы используются.

Счетчик Джонсона

A Счетчик Джонсона (или счетчик хвостового кольца, счетчик витого кольца, счетчик шагающего кольца, или счетчик Мёбиуса ) - это модифицированный кольцевой счетчик, в котором выходной сигнал последнего каскада инвертируется и возвращается как вход первого каскада. Регистр циклически перебирает последовательность битовых комбинаций, длина которой равна удвоенной длине регистра сдвига, продолжаясь бесконечно. Эти счетчики находят специализированные применения, в том числе такие, как декадный счетчик, цифро-аналоговое преобразование и т. Д. Их можно легко реализовать с помощью триггеров типа D или JK.

Счетчики информатики

В теории вычислимости, счетчик считается типом памяти. Счетчик хранит одно натуральное число (изначально ноль ) и может быть произвольно длинным. Счетчик обычно рассматривается вместе с конечным автоматом (FSM), который может выполнять следующие операции со счетчиком:

  • Проверять, равен ли счетчик нулю
  • Увеличивать счетчик на единицу.
  • Уменьшить счетчик на единицу (если он уже равен нулю, это оставляет его без изменений).

Следующие машины перечислены в порядке мощности, каждая из которых строго более мощная, чем одна под ним:

  1. Детерминированный или недетерминированный автомат плюс два счетчика
  2. Недетерминированный автомат плюс один стек
  3. Недетерминированный автомат плюс один счетчик
  4. Детерминированный автомат плюс один счетчик
  5. Детерминированный или недетерминированный конечный автомат.

Для первого и последнего не имеет значения, является ли конечный автомат детерминированным конечным автоматом или недетерминированным конечным автоматом. автомат. У них одинаковая сила. Первые два и последний являются уровнями иерархии Хомского.

Первая машина, автомат плюс два счетчика, эквивалентна по мощности машине Тьюринга. См. Статью о счетных машинах для подтверждения.

Веб-счетчик

A Веб-счетчик или счетчик посещений - это компьютерная программа, которая указывает количество посетителей или обращений, которые имеет конкретная веб-страница. получила. После настройки эти счетчики будут увеличиваться на единицу при каждом обращении к веб-странице в веб-браузере.

. Число обычно отображается в виде встроенного цифрового изображения или простого текст или на физическом счетчике, таком как механический счетчик. Изображения могут быть представлены различными шрифтами или стилями; классический пример - колеса одометра ..

веб-счетчик был популярен в середине-конце 1990-х и начале 2000-х годов, позже его заменили более подробные и полные измерения веб-трафика.

Счетчики на базе компьютеров

Многие системы автоматизации используют ПК и ноутбуки для мониторинга различных параметров машин и производственных данных. Счетчики могут подсчитывать такие параметры, как количество произведенных штук, номер партии продукции и измерения количества использованного материала.

Механические счетчики

Задолго до того, как электроника стала обычным явлением, для подсчета событий использовались механические устройства. Они известны как счетчики подсчета. Обычно они состоят из ряда дисков, установленных на оси, с цифрами от нуля до девяти, нанесенными на их края. Крайний правый диск перемещается на один шаг при каждом событии. Каждый диск, кроме самого левого, имеет выступ, который после завершения одного оборота перемещает следующий диск влево на один шаг. Такие счетчики использовались в качестве одометров для велосипедов и автомобилей и в магнитофонах, ТРК, в производственном оборудовании, а также в другом оборудовании. Одним из крупнейших производителей была компания Veeder-Root, и ее название часто использовалось для этого типа счетчиков.

Ручные счетчики используются в основном для инвентаризации и подсчета людей, посещающих События.

Электромеханические счетчики использовались для накопления итогов в вычислительных машинах, которые стали пионерами в отрасли обработки данных.

См. Также
Справочная информация
  1. ^«Счетчик декады». Комплексное издательское дело. Проверено 19 марта 2020 г.
  2. ^Сингх, Арун Кумар (2006). Основы цифровых принципов проектирования схем и приложений. Издатели New Age. ISBN 81-224-1759-0.
  3. ^Горовиц, Пол; Хилл, Уинфилд (1989). Искусство электроники. Издательство Кембриджского университета. ISBN 0-521-37095-7.
  4. ^Граф, Рудольф Ф (1999). Современный словарь по электронике. Newnes. ISBN 0-7506-9866-7.
  5. ^История VR, Veeder.
Внешние ссылки
  • СМИ, относящиеся к схемам счетчиков на Wikimedia Commons
Последняя правка сделана 2021-05-16 14:16:10
Содержание доступно по лицензии CC BY-SA 3.0 (если не указано иное).
Обратная связь: support@alphapedia.ru
Соглашение
О проекте