NCSim

редактировать
Incisive
Разработчик (и) Cadence Design Systems
Операционная система Linux
Тип Simulator
Лицензия проприетарная
Веб-сайтФункциональная проверка Cadence

Incisive - это набор инструментов от Cadence Design Systems, связанных с проектированием и проверкой ASIC, SoC и FPGA. Incisive обычно упоминается под названием NCSim в ссылка t o основной движок моделирования. В конце 1990-х набор инструментов был известен как ldv (логическое проектирование и проверка).

В зависимости от требований к дизайну, Incisive предлагает множество различных вариантов комплектации следующих инструментов:

Инструменткомандаописание
NC VerilogncvlogКомпилятор для Verilog 95, Verilog 2001, SystemVerilog и Verilog-AMS
NC VHDLncvhdlКомпилятор для VHDL 87, VHDL 93
NC SystemCncscКомпилятор для SystemC
NC ElaboratorncelabУнифицированный компоновщик / разработчик для библиотек Verilog, VHDL и SystemC. Создает файл объекта моделирования, называемый снимком изображения.
NC SimncsimУнифицированный движок моделирования для Verilog, VHDL и SystemC. Загружает изображения моментальных снимков, созданные NC Elaborator. Этот инструмент можно запустить в режиме графического интерфейса или в пакетном режиме командной строки. В режиме графического интерфейса ncsim аналогичен функциям отладки vsim ModelSim.
IrunirunИсполняемый файл для одношагового вызова. Вызывает ncvlog / ncvhdl / ncsc автоматически в зависимости от заданных файлов и их расширений. После этого выполняются ncelab и ncsim.
Sim VisionsimvisionАвтономный графический просмотрщик сигналов и трассировщик списков соединений. Это очень похоже на Дебюсси от Novas Software.
См. Также
Последняя правка сделана 2021-05-31 06:35:15
Содержание доступно по лицензии CC BY-SA 3.0 (если не указано иное).
Обратная связь: support@alphapedia.ru
Соглашение
О проекте