Разработчик (и) | Cadence Design Systems |
---|---|
Операционная система | Linux |
Тип | Simulator |
Лицензия | проприетарная |
Веб-сайт | Функциональная проверка Cadence |
Incisive - это набор инструментов от Cadence Design Systems, связанных с проектированием и проверкой ASIC, SoC и FPGA. Incisive обычно упоминается под названием NCSim в ссылка t o основной движок моделирования. В конце 1990-х набор инструментов был известен как ldv (логическое проектирование и проверка).
В зависимости от требований к дизайну, Incisive предлагает множество различных вариантов комплектации следующих инструментов:
Инструмент | команда | описание |
---|---|---|
NC Verilog | ncvlog | Компилятор для Verilog 95, Verilog 2001, SystemVerilog и Verilog-AMS |
NC VHDL | ncvhdl | Компилятор для VHDL 87, VHDL 93 |
NC SystemC | ncsc | Компилятор для SystemC |
NC Elaborator | ncelab | Унифицированный компоновщик / разработчик для библиотек Verilog, VHDL и SystemC. Создает файл объекта моделирования, называемый снимком изображения. |
NC Sim | ncsim | Унифицированный движок моделирования для Verilog, VHDL и SystemC. Загружает изображения моментальных снимков, созданные NC Elaborator. Этот инструмент можно запустить в режиме графического интерфейса или в пакетном режиме командной строки. В режиме графического интерфейса ncsim аналогичен функциям отладки vsim ModelSim. |
Irun | irun | Исполняемый файл для одношагового вызова. Вызывает ncvlog / ncvhdl / ncsc автоматически в зависимости от заданных файлов и их расширений. После этого выполняются ncelab и ncsim. |
Sim Vision | simvision | Автономный графический просмотрщик сигналов и трассировщик списков соединений. Это очень похоже на Дебюсси от Novas Software. |