Тактовый сигнал

редактировать

В электронике и особенно синхронных цифровых схемах, a тактовый сигнал (исторически также известный как логический ритм) колеблется между высоким и низким состоянием и используется как метроном для координации действий цифровых схем.

часов сигнал вырабатывается тактовым генератором . Хотя используются более сложные устройства, наиболее распространенный тактовый сигнал имеет форму прямоугольной волны с коэффициентом заполнения 50% , обычно с фиксированной постоянной частотой. Цепи, использующие тактовый сигнал для синхронизации, могут становиться активными либо при нарастающем фронте, либо на спадающем фронте, либо, в случае удвоенной скорости передачи данных, как на нарастающем, так и на спадающем фронтах тактового цикла.

Содержание

  • 1 Цифровые схемы
    • 1.1 Однофазная синхронизация
    • 1.2 Двухфазная синхронизация
    • 1.3 4-фазная синхронизация
    • 1.4 Умножитель синхросигнала
    • 1.5 Динамическое изменение частоты
  • 2 Другие схемы
  • 3 Распределение
  • 4 См. Также
  • 5 Ссылки
  • 6 Дополнительная литература

Цифровые схемы

Большинство интегральных схем (ИС) достаточного Сложность заключается в использовании тактового сигнала для синхронизации различных частей схемы, циклически меняющихся со скоростью, меньшей, чем внутренние задержки распространения в наихудшем случае. В некоторых случаях для выполнения предсказуемого действия требуется более одного такта. По мере того, как микросхемы становятся более сложными, проблема обеспечения точных и синхронизированных часов для всех схем становится все более сложной. Ярким примером таких сложных микросхем является микропроцессор , центральный компонент современных компьютеров, который использует часы от кварцевого генератора. Единственным исключением являются асинхронные схемы, такие как асинхронные процессоры.

Тактовый сигнал также может быть стробирован, то есть в сочетании с управляющим сигналом, который включает или отключает тактовый сигнал для определенной части цепь. Этот метод часто используется для экономии энергии за счет эффективного отключения частей цифровой схемы, когда они не используются, но требует повышения сложности временного анализа.

Однофазные часы

Большинство современных синхронных схем используют только «однофазные часы» - другими словами, все тактовые сигналы (эффективно) передаются по 1 проводу..

Двухфазная синхронизация

В синхронных схемах «двухфазная синхронизация» относится к синхросигналам, распределенным по 2 проводам, каждый с неперекрывающимися импульсами. Традиционно один провод называется «фаза 1» или «φ1», другой провод передает сигнал «фаза 2» или «φ2». Поскольку две фазы гарантированно не перекрываются, защелки со стробированием, а не триггеры с фронтом могут использоваться для хранения информации о состоянии, пока входы к защелкам на одной фазе зависит только от выходов защелок на другой фазе. Поскольку стробирующая защелка использует только четыре затвора по сравнению с шестью затворами для триггера, запускаемого фронтом, двухфазная тактовая частота может привести к конструкции с меньшим общим количеством затворов, но обычно с некоторым ухудшением сложности конструкции и производительности.

МОП-микросхемы обычно использовали двойные тактовые сигналы (двухфазные тактовые импульсы) в 1970-х годах. Они были сгенерированы извне для микропроцессоров 6800 и 8080. Следующее поколение микропроцессоров включает в себя генерацию часов на кристалле. 8080 использует тактовую частоту 2 МГц, но производительность обработки аналогична 1 МГц 6800. 8080 требует больше тактовых циклов для выполнения инструкции процессора. У 6800 минимальная тактовая частота 100 кГц, а у 8080 минимальная тактовая частота 500 кГц. К 1976 году были выпущены более высокоскоростные версии обоих микропроцессоров.

6501 требует внешнего двухфазного тактового генератора. Технология MOS 6502 использует ту же двухфазную логику внутри, но также включает в себя двухфазный тактовый генератор на кристалле, поэтому ему нужен только однофазный тактовый вход, что упрощает конструкцию системы.

4-фазный тактовый сигнал

Некоторые ранние интегральные схемы используют четырехфазную логику, требуя четырехфазного тактового входа, состоящего из четырех отдельных, неперекрывающихся тактовых сигналов. Это было особенно распространено среди ранних микропроцессоров, таких как National Semiconductor IMP-16, Texas Instruments TMS9900 и Western Digital WD16. используется в DEC LSI-11.

Четырехфазные тактовые импульсы редко использовались в новых процессорах CMOS, таких как микропроцессор DEC WRL MultiTitan. и в технологии Fast14 компании Intrinsity. Большинство современных микропроцессоров и микроконтроллеров используют однофазные часы.

Умножитель тактовой частоты

Многие современные микрокомпьютеры используют «умножитель тактовой частоты », который умножает внешнюю тактовую частоту более низкой частоты на соответствующую тактовую частоту . микропроцессора. Это позволяет ЦП работать с гораздо более высокой частотой, чем остальная часть компьютера, что дает прирост производительности в ситуациях, когда ЦП не нужно ждать внешнего фактора (например, памяти или ввода / вывода ).

Динамическое изменение частоты

Подавляющему большинству цифровых устройств не требуются часы с фиксированной постоянной частотой. Пока соблюдаются минимальный и максимальный периоды тактовых импульсов, время между фронтами тактовых импульсов может широко варьироваться от одного фронта к другому и обратно. Такие цифровые устройства так же хорошо работают с тактовым генератором, который динамически изменяет свою частоту, например, генерация тактовых импульсов с расширенным спектром, динамическое масштабирование частоты и т. Д. Устройства, использующие static логика даже не имеет максимального такта; такие устройства можно замедлить и приостановить на неопределенное время, а затем возобновить работу на полной тактовой частоте в любое более позднее время.

Другие схемы

Некоторые чувствительные схемы со смешанными сигналами, например прецизионные аналого-цифровые преобразователи, используют синусоидальные волны, а не прямоугольные волны в качестве тактовых сигналов, поскольку прямоугольные волны содержат высокочастотные гармоники, которые могут мешать работе аналоговой схемы и вызывать шум. Такие синусоидальные тактовые импульсы часто являются дифференциальными сигналами, потому что этот тип сигнала имеет вдвое большую скорость нарастания и, следовательно, половину неопределенности синхронизации, чем несимметричный сигнал с таким же диапазоном напряжений. Дифференциальные сигналы излучают менее сильно, чем одиночная линия. В качестве альтернативы можно использовать одну линию, экранированную линиями питания и заземления.

В схемах КМОП емкости затвора постоянно заряжаются и разряжаются. Конденсатор не рассеивает энергию, но энергия теряется в управляющих транзисторах. В обратимых вычислениях, катушки индуктивности могут использоваться для хранения этой энергии и уменьшения потерь энергии, но они имеют тенденцию быть довольно большими. В качестве альтернативы, используя синусоидальную синхронизацию, передающие вентили CMOS и методы энергосбережения, можно снизить требования к питанию.

Распределение

Самый эффективный способ получить Тактовый сигнал для каждой части микросхемы, которая в нем нуждается, с наименьшим перекосом, представляет собой металлическую сетку. В большом микропроцессоре мощность, используемая для управления тактовым сигналом, может составлять более 30% от общей мощности, используемой всей микросхемой. Вся конструкция с воротами на концах и всеми усилителями между ними должна загружаться и разгружаться каждый цикл. Для экономии энергии синхронизация временно отключает часть дерева.

сеть распределения часов (или дерево часов, когда эта сеть образует дерево) распределяет тактовый сигнал (ы) из общей точки на все элементы, которые нужно это. Поскольку эта функция жизненно важна для работы синхронной системы, большое внимание было уделено характеристикам этих тактовых сигналов и электрических сетей, используемых в их распределении. Тактовые сигналы часто рассматриваются как простые управляющие сигналы; однако у этих сигналов есть некоторые очень особые характеристики и атрибуты.

Тактовые сигналы обычно загружаются с наибольшим разветвлением и работают на самых высоких скоростях любого сигнала в синхронной системе. Поскольку сигналы данных снабжены временной ссылкой с помощью тактовых сигналов, тактовые сигналы должны быть особенно чистыми и четкими. Кроме того, эти тактовые сигналы особенно подвержены технологическому масштабированию (см. закон Мура ), поскольку длинные линии глобального межсоединения становятся значительно более резистивными при уменьшении размеров линий. Это повышенное сопротивление линии является одной из основных причин возрастающего значения распределения часов для синхронной работы. Наконец, контроль любых различий и неопределенности во времени прихода тактовых сигналов может серьезно ограничить максимальную производительность всей системы и создать катастрофические состояния гонки, в которых неправильный сигнал данных может зафиксироваться в регистре.

Большинство синхронных цифровых систем состоят из каскадных банков последовательных регистров с комбинационной логикой между каждым набором регистров. Логические каскады удовлетворяют функциональным требованиям цифровой системы. Каждый логический этап вносит задержку, которая влияет на временные характеристики, и временные характеристики цифрового проекта могут быть оценены относительно временных требований с помощью временного анализа. Часто необходимо уделять особое внимание соблюдению сроков. Например, глобальные требования к производительности и локальной синхронизации могут быть удовлетворены путем тщательной вставки конвейерных регистров в равноотстоящие временные окна для удовлетворения критического наихудшего случая. Правильный дизайн сети распределения часов помогает обеспечить выполнение критических требований по времени и отсутствие условий гонки (см. Также рассогласование часов ).

Компоненты задержки, составляющие общую синхронную систему, состоят из следующих трех отдельных подсистем: элементов памяти, логических элементов, а также схемы синхронизации и распределительной сети.

В настоящее время разрабатываются новые структуры для устранения этих проблем и предоставления эффективных решений. Важные области исследований включают методы резонансной синхронизации, встроенные оптические соединения и методики локальной синхронизации.

См. Также

Ссылки

Дополнительная литература


Адаптировано из колонки Эби Фридмана в электронном информационном бюллетене ACM SIGDA от Игоря Маркова. Исходный текст доступен по адресу https://web.archive.org/web/20100711135550/http://www.sigda.org/newsletter/2005/eNews_051201.html

Последняя правка сделана 2021-05-15 11:56:32
Содержание доступно по лицензии CC BY-SA 3.0 (если не указано иное).
Обратная связь: support@alphapedia.ru
Соглашение
О проекте